Infos for the modules Systemprogramming, Realtime-Systems and Hardware-/Software-CoDesign

Hi,

this week schedule for lectures and practical work for the modules Systemprogramming, Realtime-Systems and Hardware-/Software-CoDesign will be as follows:

  • 11.11.2020 1st UE (8:00): Practical work Systemprogramming in 01/k32
  • 11.11.2020 2nd UE (9:45): Lecture Realtime-Systems in 01/322-1
  • 12.11.2020 1st UE (8:00): Practical work Realtime-Systems in 01/k31 for DDP
  • 12.11.2020 2nd UE (9:45): Practical work Realtime-Systems in 01/k31 for MET2 and DMT2
  • 12.11.2020 3rd UE (11:45): Practical work Systemprogramming in 01/k32
  • 12.11.2020 4th UE (13:45): Hardware-/Software-CoDesign in 01/221

Best regards

Ingo Chmielewski