Infos for the Modules Systemprogramming, Realtime-Systems and Hardware-/Software-CoDesign

Hi,

this week (16.11.-20.11.) the schedule of lectures and practical work will be as follows:

  • 18.11.2020: 1st UE (8:00 Uhr) Practical work Systemprogramming in 01/k32 and 01/k31
  • 18.11.2020: 2nd UE (9:45 Uhr) Lecture Systemprogramming in 01/322-1
  • 19.11.2020: 4th UE (13:45 Uhr) Lecture/Practical work Hardware-/Software-CoDesign in 01/221

Best regards

Ingo Chmielewski