Infos for the module Systemprogramming, Realtime-Systems and HW-/SW-/CoDesign

Hi,

for this week (6th – 10th of Dec) the following schedule will take place.

  • 8.12.2021 2nd UE (10:00 Uhr): Practical work of Realtime-Systems Group 1 in 01/k31 and 01/k32
  • 8.12.2021 14:00 Uhr videoconference for HW-/SW-/CoDesign to explain, how software is implemented in NIOS2, we kindly ask you to prepare your board with an already implemented NIOS2 microcontroller on the evaluation board. If you have not done this preparation so far, please carefully observe our explanation in this videoconf. Please use this link for the conf.
  • 9.12.2021 1st UE (8:00 Uhr): Practical work of Realtime-Systems Group 2 in 01/k31 and 01/k32
  • 9.12.2021 2nd UE (10:00 Uhr): Lecture Systemprogramming in 01/322-2
  • 9.12.2021 4th UE (14:30 Uhr): HW-/SW-/CoDesign: Consultation and distribution of the semester project work to each group in 01/222

Thanks a lot for kind understanding.

Ingo Chmielewski