Update: Infos for the module Systemprogramming, Realtime-Systems and HW-/SW-/CoDesign

Hi,

this week I like to propose the following schedule regarding lecture and practical work for the modules Systemprogramming, Realtime-Systems and Hardware-/Software-/CoDesign.

  • 1.12.2021 1st UE (8:00 Uhr) Practical work for group 1 (Realtime-Systems or/and Systemprogramming) in 01/k31 and 01/k32
  • 1.12.2021 5th UE (16:30 Uhr) Lecture Realtime-Systems in 01/121
  • 2.12.2021 1st UE (8:00 Uhr) Lecture Systemprogramming in 01/111
  • 2.12.2021 2nd UE (10:00 Uhr) Practical work for group 2 (Realtime-Systems or/and Systemprogramming) in 01/k31 and 01/k32
  • 1.12.2021 6th UE (18:00 Uhr) Hardware-/Software-/CoDesign Videokonference for the continuation of setting up the NIOS2.
    Please follow this link at 18:00 Uhr
  • 2.12.2021 4th and 5th UE (14:30 Uhr) Practical work (Hardware-/Software-/CoDesign) for Group 2 in 01/221

Best regards

Ingo Chmielewski