Schedule for the modules Systemprogramming, Realtime-Systems, Hardware-/Software-/CoDesign

Hi,

first of all, I like to wish a “Happy new year” to all of you paired with best wishes for your health.

This week (12.1.2022 – 13.1.2022) I like to propose the following schedule to you.

  • 12.1.2022: 1st UE (8:00 Uhr) practical work Systemprogramming in 01/k31 and 01/k32
  • 12.1.2022: 2nd UE (10:00 Uhr) practical work Realtime-Systems in 01/k31 and 01/k32
  • 13.1.2022: 4th UE (14:30 Uhr) Consultation by video conference of Hardware-/Software-/CoDesign. Please reserve a time-slot by using this link.

Beside this fixed schedule the lab is open to you for your individual work.

Ingo Chmielewski