Schedule for the modules Realtime-Systems, Systemprogramming, HW-/SW-/CoDesign

Hi,

this week (13th – 17th of Dec 2021) I like to propose the following schedule for the modules Realtime-Systems, Systemprogramming and HW-/SW-/CoDesign.

  • 15.12.2021 1st UE (8:00 Uhr): Practical work of Systemprogramming  for group 1 in 01/k31 and 01/k32
  • 15.12.2021 5th UE (16:30 Uhr): Lecture Realtime-Systems in 01/216
  • 16.12.2021 2nd UE (10:00 Uhr): Practical work of Systemprogramming for group 2 in 01/k31 and 01/k32
  • 16.12.2021 4th UE (14:30 Uhr): Consultation for HW-/SW-/CoDesign in 01/221.
    Please reserve a timeslot for consultation per group by using this link.

Best regards

Ingo Chmielewski