UPDATE: Info and material for HW-/SW-/CoDesign, Systemprogramming and Realtime-Systems

Hi,

for this week I like to announce the following schedule for lectures:

  • Realtime-Systems: 11.11.21 8:00 am (1st UE) in 01/322/2
  • Systemprogramming: 11.11.21 9:30 am (2nd UE) in 01/322/2 UPDATE: Systemprogramming lecture needs to be skipped, because urgent other matter.
  • Hardware-/Software-/CoDesign: This week, there will be no lecture. The practical part incl. introduction will start from 18th of Nov. onward.

Both lectures will be recorded and made to you available afterwards.

For last week courses, you can find the recorded lectures in the following links.

Ingo Chmielewski