Info for the modules Realtime-Systems, Systemprogramming, HW-/SW-/CoDesign

Hi,

from this week onward, we will start the practical work for the Modules HW-/SW-/CoDesign, Systemprogramming and Realtime-Systems. To start your work, you will get the necessary material and a short introduction according to the following schedule.

  • 17.11.2021: Realtime-systems 16:30 Uhr (5th UE) in 01/k31/k32
  • 18.11.2021: Systemprogramming 10:00 Uhr (2nd UE) in 01/k32
  • 18.11.2021: HW-/SW-/CoDesign 14:30 Uhr (4th UE) in 01/221

Please be available for the introduction in time at the specific room.

For last week lecture Realtime-Systems, the recorded video can be downloaded.

BR

Ingo Chmielewski