Info for the modules Systemprogramming, Realtime-Systems and HW-/SW-/CoDesign

Hi,

for the modules Systemprogramming, Hardware-Software-CoDesign and Realtime-Systems, I like to give the following info/material to you.

Video-material for viewing and for downloading (vlc player is recommended):

Lecture-material:

Next lecture schedule:

  • Hardware-Software-CoDesign: 4.11.2021 4th unit 14:30 pm in 01/322/2
  • Systemprogramming: 04.11.2021 1st unit 8:00 am in 01/322/2
  • Realtime-Systems: 03.11.2021 5th unit 16:30 pm in 01/322/2

If there is any question/problem, please send me an eMail.

BR

Ingo Chmielewski